Дипломци
Online књижара
Адресар
English
Студентски парламент
  Повратак на почетну  
Висока школа електротехнике и рачунарства струковних студија
Београд
 
   
О Школи   Студирање   Упис семестра   Е - учење (Moodle)   Лабораторије и центри   Маркетинг и послови   Акредитација
   
   
     
O предмету
Презентације предмета
Обавештења
Предавања
Вежбе
Резултати испита
Испитна питања
Резултати колоквијума
Download
Почетна >> Странице предметa >> Дигитални системи у програмабилној логици
 
 
О предмету Дигитални системи у програмабилној логици
Студијски програм: Електротехничко инжењерство
Назив предмета: Дигитални системи у програмабилној логици
Наставник:
др Драгана Прокин
Статус предмета: Изборни
Шифра предмета:
ЕСПБ бодови: 8
Услов:

Познавање основних појмова из области дигиталне електронике

Циљ предмета:

Стицање основних знања о основним елементима и пројектовању дигиталних система, тестирању и имплементацији у програмабилним логичким колима високог степена интеграције.

Исход предмета:

Оспособљеност за самостално пројектовање, симулацију рада и имплементацију дигиталних система у програмабилним логичким колима FPGA типа применом софтверских развојних алата.

Садржај предмета:
Теоријска настава:

  1. Платформе за развој и имплементацију дигиталних система у програмабилном чипу. Типичне структуре конфигурабилних логичких блокова у FPGA колима. Софтверски развојни алати.
  2. Пројектовање основних компонената регистарског нивоа апстракције (RTL). Креирање компонената дигиталног система применом VHDL језика. Тестирање симулацијом.
  3. VHDL опис регистарских модула применом методе тока података. Пројектовање генератора импулсно-ширински модулисаних сигнала.
  4. Пројектовање система за управљање саобраћајем на бази машине стања Милијевог типа.
  5. VHDL опис FIFO бафера и меморијских модула. Меморије ROM и RAM типа.
  6. Опис и имплементација стандардних интерфејса за пренос података.
  7. Основе Verilog језика. Модул као основни градивни блок. Декларација портова.
  8. Verilog опис модула са комбинационом и секвенцијалном логиком. Хијерархијски опис дизајна.
  9. Verilog опис модула за дигиталну обраду сигнала. Примери дизајна дигиталних филтара.
  10. Структурни опис дигиталног система. Компоненте, функције и процедуре.
  11. Оптимизација заузећа логичких ресурса. Реализација дизајна применом мегафункција.
  12. Опис и имплементација основне структуре 16-битног микропроцесорског система у FPGA колу.
  13. IP Core софтверски модули за имплементацију сложених дигиталних система у FPGA колима.
  14. Примери пројектовање и имплементација дигиталних система у FPGA SoC (System on Chip).
  15. Закључна разматрања. Самовредновање, анализа предмета.

Практична настава:

Практична настава прати програм предавања.

Литература:

1.  V. Kovačević, Logičko projektovanje računarskih sistema I - пројектовање

   дигиталних система, FTN, Novi Sad, 2013.

2.  D. Prokin, D. Todović, Zbirka zadataka iz Programabilnih logičkih kola,

   Akademska izdanja, Beograd, 2007.

3.  J. Hamblen, T. Hall, M. Furman Rapid prototyping of digital systems,

   Springer, 2006.

4.  P. P. Chu, RTL hardware design using VHDL, John Wiley & Sons, Inc., 2006.

5. M. M. Mano, M. D. Ciletti, Digital design with an introduction to the Verilog HDL,

    Pearson, 2013

Број часова активне наставе:
Остали часови:
Предавања: Вежбе: Други облици наставе: Студијски и истраживачки рад:
4 3  
Метода извођења наставе:

Настава је организована путем предавања, аудиторних и лабораторијских вежби.

Оцена знања (максималан број поена 100):
Предиспитне обавезе Поена Завршни испит Поена
активности у току предавања 10 писмени испит
практична настава 10 усмени испит
семинарски рад 50 испит за рачунаром
колоквијум практичан 30
 
           
Предметни професор
др Драгана Прокин
Термин консултација:
Среда 15:00-17:00 Уторак 16:00-18:00
Кабинет: 512
E-mail: dprokin@viser.edu.rs
   
| О Школи | Студирање | Упис семестра | Маркетинг и послови | Лабораторије и центри | Дешавања |
  © Висока школа електротехнике и рачунарства струковних студија, Војводе Степе 283, Београд
web@viser.edu.rs